Wednesday 1 May 2019

Control System how to get rid of Steady-State Error For Ramp Input


I am supposed to get rid of the steady-state error for ramp input for this closed loop transfer function


enter image description here


Transfer Function of Closed Loop ^ T(s)


enter image description here


Closed Loop ^


Since the closed loop is equivilant to the Open Loop below enter image description here



Open Loop ^


I found out that the G(s) is ^ enter image description here


From as far as i know to get rid of transfer function i have to turn G(s) to a type 2 system(by adding another pole at the origin) since there is no steady-state error for ramp input for type two system so I tried the method (1) below enter image description here method 1 ^


But using MatLab I am unable to get the result that I desired which is zero steady-state error for a ramp input (not sure if code error or what)


    num=[198025];
den=[1 445 0 198025];
t=0:0.005:10;
r=t;
y=lsim(num,den,r,t);plot(t,r,'-',t,y)


Matlab script ^


But the result I got is something like this


enter image description here


Matlab Result ^


Instead of something like this (note ** that is just some example I found online on how a type two system should be with ramp input)


enter image description here Expecting Pattern ^


I found out there are positive poles but i am not quite sure what to replace the 1/s with to ensure that there isnt any positive poles while removing the steady-state error for ramp input as well


and also is there a way to get rid of the steady-state error for ramp input by cascading another function at the end or the back of the Transfer function( Method 2 ) without altering the original circuit (the original closed loop transfer function)?


enter image description here something like this ^ (which is the way I am supposed to do)


Any help would be wonderful Thx.





No comments:

Post a Comment

arduino - Can I use TI's cc2541 BLE as micro controller to perform operations/ processing instead of ATmega328P AU to save cost?

I am using arduino pro mini (which contains Atmega328p AU ) along with cc2541(HM-10) to process and transfer data over BLE to smartphone. I...