Friday 24 October 2014

VHDL 2008 fixed and floating point type synthesis support?


Which VHDL synthesis tools support the VHDL 2008 fixed and floating point types as described at vhdl.org/fphdl? The VHDL.org site states "all these packages are designed to be synthesizable in VHDL-93". Which tools have yield successful results synthesizing the VHDL-2008 fixed-point and floating-point types?


Second question, what is the status of VHDL-2008. Has it been ratified?





No comments:

Post a Comment

arduino - Can I use TI's cc2541 BLE as micro controller to perform operations/ processing instead of ATmega328P AU to save cost?

I am using arduino pro mini (which contains Atmega328p AU ) along with cc2541(HM-10) to process and transfer data over BLE to smartphone. I...